April 16, 2024

pixliv

Digitally first class

IBM Unveils 2-Nanometer Chip Process, But Actual Products Are Still Years Away

(Credit: IBM)

IBM is introducing computer chips built with a “2 nano-meter” manufacturing process, but don’t expect the technology to go into volume production until late 2024.

IBM said the prototype technology had successfully fabricated 2nm-made chips on a silicon wafer at the company’s Albany, New York, lab. The manufacturing promises to make computer chips for smartphones, laptops, and servers more powerful and energy efficient. According to IBM, the 2nm process can improve a semiconductor’s performance by 45% compared to the 7nm process from the current leading providers—an apparent reference to TSMC, which builds AMD and Apple chips.

IBM adds that the same 2nm technology can create a processor that uses 75% less power than a 7nm chip while maintaining the same performance. 

A closer view of 2nm chips on the silicon wafer

A closer view of 2nm chips on the silicon wafer. (Credit: IBM)

Still, comparing one company’s processor technology to another is tricky. Chip makers routinely talk about their 10nm, 7nm, and 5nm nodes, in their efforts to pack more and more transistors on a piece of silicon. However, the nanometer nomenclature is often just marketing speak that can obfuscate a real measure of computing performance: the actual transistor density on a chip.

IBM touting its 2nm process is certainly exciting. But based on the company’s slides, there actually isn’t a component on the chip at a 2nm size. Instead, the announcement is more about a generational improvement from IBM’s earlier 5nm process, which debuted in 2017. 

IBM slide

Credit: IBM

According to IBM, the new 2nm process is capable of fitting 50 billion transistors on a chip the size of a fingernail—up from the 30 billion transistors on the 5nm node. 

Mukesh Khare, the company’s VP of Hybrid Cloud Research, added that the 2nm technology itself encompasses several chip advancements for the increased transistor density. “The key innovation that we are sharing here is a nanosheet enhancement with features like a Bottom Dielectric Isolation, a new lithography in front end,” he said. “So it’s like a combination of many breakthroughs to come together to form this 2nm node technology.”

Khare said IBM itself won’t be manufacturing the 2nm chips. Instead, the company plans on tapping partners including Samsung to build the processors. Whether Intel, which recently announced a research collaboration with IBM on semiconductor technology, will also use the technology is less clear. 

“We really welcome Intel as a partner in our ecosystem,” Khare said, without elaborating. “All the partners who are part of this ecosystem will benefit from this innovation.” 

The other issue is the competition. TSMC is also working to build chips with its own 2nm process for mass production in 2024, according to media outlets in Taiwan. In addition, the company is a proven foundry that’s even attracted customer orders from Intel.

In the meantime, IBM plans on spending the next three years refining the 2nm process and ironing out any potential defects. “It takes a lot of effort to get from here to manufacturing,” Khare added.